Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NC verilog simulation error

Status
Not open for further replies.

eng_ahmed_osama

Junior Member level 3
Joined
Feb 4, 2014
Messages
29
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
220
I am new in using ncvlog

I have an error when i am trying to simulate simple inverter
It is a schematic running nc-verilog with virtuoso .

|
ncelab: *E,CUVMUR (./ihnl/cds0/netlist,19|10): instance 'test.top@Inv_1<module>.PM0' of design unit 'pmos1v' is unresolved in 'worklib.Inv_1:verilog'.
nmos1v NM1 ( .D(Vout), .B(cds_globals.gnd_), .G(Vin),
|
ncelab: *E,CUVMUR (./ihnl/cds0/netlist,21|10): instance 'test.top@Inv_1<module>.NM1' of design unit 'nmos1v' is unresolved in 'worklib.Inv_1:verilog'.
ncxlmode: *E,ELBERR: Error during elaboration (status 1), exiting.

my pdk which is GPDK45n

Any help please .
 

whatever design unit is unresolved means there is no module definition. you need to add those module during compilation.

- - - Updated - - -

you can just grep "grep "module pmos1v" " , I believe you will not find anything in database, if you find it then provide that file to the tool.
 
the pmos1v is library based cell , its a transistor where i can find its verilog represntation
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top