Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

LCD programming with VHDL and spartan3e FPGA kit

Status
Not open for further replies.

Junus2012

Advanced Member level 5
Joined
Jan 9, 2012
Messages
1,552
Helped
47
Reputation
98
Reaction score
53
Trophy points
1,328
Location
Italy
Activity points
15,235
Hello friends

Its the first time for me to deal with LCD, now I am trying to display some data on the LCD of the spartan 3e starter kit from xilinix. I do need your help to let me start dealing with LCD using VHDL. I need any material to read about,, a simple codes to get start, any help will be very appreciated.

Thank you and I am looking for your replies

Regards
 

Hello friends

Its the first time for me to deal with LCD, now I am trying to display some data on the LCD of the spartan 3e starter kit from xilinix. I do need your help to let me start dealing with LCD using VHDL. I need any material to read about,, a simple codes to get start, any help will be very appreciated.

Thank you and I am looking for your replies

Regards

i/f and reference design is fully described in the odf :

**broken link removed**
 
thank you friend for your kind help,,, but still i need to have starting code to start practicing with LCD please

i/f and reference design is fully described in the odf :

**broken link removed**
 

thank you friend for your kind help,,, but still i need to have starting code to start practicing with LCD please

you also have their reference design as a reference :

**broken link removed**

all you need is to follow this document, and code routines of the picoblaze.
you can convert picoblaze routines to vhdl code.
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top