Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Spartan 3E development tools on Linux

Status
Not open for further replies.

yannoo95170

Member level 1
Joined
May 13, 2013
Messages
36
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,724
Hi,


I have recently buy an Xilinx FPGA Development Spartan-3E XC3S500E-PQG208 Board 4.3" TFT LCD 4 Nios but the CD contain only tools for the Windows platform :(
(with no additionals papers/informations, only the board, somes cables, the AC/DC converter, one CD-ROM for the Windows platform and two visit cards with Xilinks, Altera and a lot of others trademarks on them)

I have make the (very big and that take a long time) download and install of the Altera Quartus II Web edition 13.0 32 bits but I don't find the SPARTAN device family on it :(
(only Arria's, Cyclone's and MAX's device families)

Is Quartus II the good dev environment for to can begin devel on a SPARTAN 3E board or have I to install Xilink ISE instead ?
(I have begin the download of ISE but my download manager say 6 hours of download ...)
[now, after somes minuts of web surf, this is 8 hours ... :( ]

Where can I find tools for to can compile and transfer somes .vl files tests from my Linux box to this SPARTAN-3E XC3S500E board ?

I have already make somes tests using iverilog and vvp on Linux
=> this work fine but I want now to transfert/run this into the FPGA board

Note that I prefer minimalistics tools (cf. relatively smalls) that not spend a lot of giga-bytes for dowloads/installs when somes tens or hundreds of mega-bytes seem to me already big enough :)
(something like the PSP tools chain seem to me ideal for example)

I have too read about IMPACT and XC3Sprog at **broken link removed**
=> are they goods tools for to devel for a SPARTAN 3E FPGA from a Linux platform ?
 
Last edited:

You must use Xilinx tools with Xilinx FPGAS. They do have Unix versions of their tools, but I do not know of they have any versions of the tools for Linux distros.

r.b.
 
Last edited:
Thank, Rberek

I have begin to dowload the ISE Webpack from Xilinx in the end of this afternoon
(my download manager say now 3h before the end of download, between 6 and 8h at the begining)

I think that I can delete my Quartus install since it seem no adapted to the SPARTAN 3-E platform ?

Exist a sort of light weight version of this tools compatible with the SPARTAN 3-E on a linux box ???
(with 3.5 Go compressed, this is really very very ... very big for a IDE/compilator/linker/"copy to FPGA" chain tools ...)

PS : I have stop my IP/TV, the download is now really more fast (about one hour) :)
 
Last edited:

I do not know of any lightweight versions of the tools. They are a very large download as you are finding out.

r.b.
 

Think you that a lot of things can to be discarded after the install ?
(for exemple, I don't want to have any others targets that the SPARTAN 3-E ...)
 

No, you cannot really discard anything that I can think of offhand
r.b.
 

I have download/install VIVADO 2013.1 and only found 7's families on it :(

Virtex-7
Kintex-7
Artix-7
Zynq-7000

but any trace of the Spartan family :(

=> How to install Spartan's families/board into this VIVADO install
(or have I to install another VIVADO version ?)
 

Vivado only supports Version 7 of the FPGAs. But in the same download you should have gotten ISE, which supports Versions 6 and below. The Windows downlaod contains both ISE and Vivado.

r.b.
 

I noticed that for recent versions they split it in vivado / ISE downloads, so you can grab just the ISE stuff. At least this was the case for linux, not sure about windows.

- - - Updated - - -

http://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/design-tools.html

and then grab "Full Installer for Linux" and you should be good to go for spartan-3e.
 

OK, I desinstall AVIDO and make the install of ISE
=> and this is begin for 6 hours of download ... :evil:


I have read on the Net than somes serial to USB cables don't work
=> my FPGA dev board was delivered with a blue serial to USB cable and the only text I see on it is 340
==> can this serial to USB cable work ?
 
Last edited:

What is **exactly** the name of the archive to download ?

Why the VIVADO package is 3.51 Go when the ISE package is 6.01 Go ?
(since the last various VIVADO packages I have downloaded seem already contain ISE ...)

What are reals differences between the Web pack, the ISE Suite and the VIVANDO package ???

Note that I only want a **fonctionnal** devellopment environment for the SPARTAN 3E FPGA on my two Linux Ubuntu 13.04 boxs at home

No problem if I have an older version of the dev tools, it's only for to make somes dev/tests on a SPARTAN 3E FPGA board but with a Linux platform for to make the devellopment part

Note that I think to buy a more better FPGA dev board in the somes months because I want to handle things like 3D and/or audio/video processing with this on the future
(and with only 8 colors and no sound, my actual "Xilinx FPGA Development Spartan-3E XC3S500E-PQG208 4.3" TFT LCD 4 Nios" board don't seem really adapted for this :) )

**But** for the instant, I only want something that is fonctionnal with a SPARTAN 3E board on my two Linux boxs
(cf. I have all the time to entirely upgrade this if I want to pass to a better FPGA board in the end of this year for example)

PS : it's always the same nightmare for to install "basics" FPGA's tools on a linux box ???
(I don't want a very very ... very big IDE/SDK that handle alls FPGA's [but, of course, not the SPARTAN family :evil:], only one "little" chain tools for to make the FPGA dev on a linux box and can to transfert this on the FPGA for execution ...)
[I can already make the simulation with iverilog and vvp, so theorically the tools chain doesn't need to make a lot of gigabytes ...]
 
Last edited:

Like I said already:

https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/design-tools.html

and then grab "Full Installer for Linux" and you should be good to go for spartan-3e.

Not much else I can say that makes it any clearer. The file should be somethings like Xilinx_ISE_DS_Lin_14.5_P.58f_4.tar

- - - Updated - - -

Also: webpack/ise == old fpga's like spartan-3. Vivado: new tool for newer fpga's (virtex-7, kintex-7, etc).

For more detail you can read the xilinx docs, which should be able to answer that sort of thing...
 
Lot of thanks,

=> the download is beginned (Xilinx_ISE_DS_Lin_14.5_P.58f_4.tar, 6 Gb, 7 hours of download now) and I install this tomorow

For my next FPGA board, it's really better to directly buy the board in Xilink or Altera for to be certain to have the good version of the software for the linux platform or no ?

I have only the Windows version of softwares/drivers on a manually engraved DVD+R that come with the SPARTAN 3E board kit that I have buy via EBAY, and where alls repertories are named with a mixture of english / chineese on the DVD, and any papers documentations/instructions of course ... :evil:

=> since I'm really a very new beginner on the FPGA domain but want absolutely handle this on a linux platform, I think that you can easily see the situation where I am now :roll:

Note that I can already use iverilog/vvp on my linux computers and hear somes bips, see the chenillard on the FPGA board or the hello message on my linux box (with kermit) when I push somes buttons or reset the FPGA board, this is already this :lol:
 
Last edited:

I have now successfully install the Xilinx_ISE_DS_Lin_14.5_P.58f_4.tar package and install the xilink.liq licence file that I have recepted from Xilink by email :-D

Note that this don't make the Xilink's entries into the menu bar
=> so I have to manually type ise for to run the ISE Project Navigator

What have I to make for to stop this redondant message on the console output ?
Code:
XPCOMGlueLoad error for file /usr/lib/firefox/libxpcom.so:
libxul.so: cannot open shared object file: No such file or directory
Couldn't load XPCOM.


And where can I find a good tutorial that explain precisely how to export one example project found into ISE into my SPARTAN 3S500E FPGA board ?

If this can to be a tutorial that explain too how to make this using only the command line, and so can easily to be integred into a script shell, it's even better ;-)
(because I prefer to work without ISE that I personnaly found very too big/complex to use for only make a compilation/link/transfert of a .vl or .vhd file into my Spartan 3E board => I largely prefer something like a Verilog2FPGA.sh or VHD2FPGA.sh scripts shells that automatize this)
[for example, ISE use at this moment about 196 Mb of RAM without to make anything ...]

- - - Updated - - -

I have tested to compile this very basic verilog source :
Code:
module main;

  initial
    begin
      $display("Hello, World");
      $finish ;
    end

  endmodule

And I have this when I compile it on ISE :(
Code:
------------------------------------------------------------------------------
/opt/Xilinx/14.5/ISE_DS/ISE/bin/lin/unwrapped/compxlib -s mti_se -l verilog -arch spartan3e -lib unisim -lib simprim -lib xilinxcorelib -lib edk -exclude_superseded -intstyle ise 
------------------------------------------------------------------------------
ERROR:Compxlib - COMPXLIB[sim]: Unable to automatically find executables for simulator 'mti_se' from the following paths:
    /opt/Xilinx/14.5/ISE_DS/ISE//bin/lin
   /opt/Xilinx/14.5/ISE_DS/ISE/bin/lin
   /opt/Xilinx/14.5/ISE_DS/ISE/sysgen/util
   /opt/Xilinx/14.5/ISE_DS/ISE/sysgen/bin
   /opt/Xilinx/14.5/ISE_DS/ISE/../../../DocNav
   /opt/Xilinx/14.5/ISE_DS/PlanAhead/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/bin/lin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/microblaze/lin/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/arm/lin/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin32_be/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin32_le/bin
   /opt/Xilinx/14.5/ISE_DS/common/bin/lin
   /usr/lib/lightdm/lightdm
   /usr/local/sbin
   /usr/local/bin
   /usr/sbin
   /usr/bin
   /sbin
   /bin
   /usr/games
   /usr/local/games.
Simulator 'mti_se' is ignored.

Process "Compile HDL Simulation Libraries" failed

=> this can have a link with this error message that I see before on the console ?
Code:
XPCOMGlueLoad error for file /usr/lib/firefox/libxpcom.so:
libxul.so: cannot open shared object file: No such file or directory
Couldn't load XPCOM.
(I see that they have "X" and "COM" in common, but they are perhaps two very distincts errors)

PS : I think to have understand how I can make my VL2FPGA.sh tools chain => alls necessary commands lines are output on the ISE console :-D
 
Last edited:

mti_se related errors: try using ISim instead and get that working first. After that you can always worry about modelsim.

libxul.so error: I'd expect that to be installed together with whatever browser it is you have there.

Maybe "apt-get install xulrunner" or something similar will fix it. Or install whatever browser it is that uses it.

Besides, that looks like a non-critical error related to some "fire up browser for documentation" functionality... Never ran into that one before.

- - - Updated - - -

Oh and if you happen to find any ISE shell scripts / Makefiles, please post a link. :)
 

mti_se related errors: try using ISim instead and get that working first. After that you can always worry about modelsim.

How can I configure ISE to use ISim instead Modelsim ?

I have a lot of choices into the Target Simulator menu but not Isim :(

* Modelsim SE/DE/DE Verilog/VHDL/Mixed (alls combinations, cf. 9 entries )

* Questa (this is the onty that have only one entry, all others have Veriglog/VHDL/Mixed versions entries)

* NC-Sim Verilog/VHDL/Mixed (all combinaisons, so 3 entries)

* VCS-MX Verilog/VHDL/Mixed (all combinaisons, so 3 entries)

* VCS-MXi Verilog/VHDL/Mixed (all combinaisons, so 3 entries)

* Riviera-PRO Verilog/VHDL/Mixed (all combinaisons, so 3 entries)

I have tested with various entries but the I have always the same type of message :cry:
Code:
ERROR:Compxlib - COMPXLIB[sim]: Unable to automatically find executables for simulator 'XXXXsim' from the following paths:
    /opt/Xilinx/14.5/ISE_DS/ISE//bin/lin
   /opt/Xilinx/14.5/ISE_DS/ISE/bin/lin
   /opt/Xilinx/14.5/ISE_DS/ISE/sysgen/util
   /opt/Xilinx/14.5/ISE_DS/ISE/sysgen/bin
   /opt/Xilinx/14.5/ISE_DS/ISE/../../../DocNav
   /opt/Xilinx/14.5/ISE_DS/PlanAhead/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/bin/lin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/microblaze/lin/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/powerpc-eabi/lin/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/arm/lin/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin32_be/bin
   /opt/Xilinx/14.5/ISE_DS/EDK/gnu/microblaze/linux_toolchain/lin32_le/bin
   /opt/Xilinx/14.5/ISE_DS/common/bin/lin
   /usr/lib/lightdm/lightdm
   /usr/local/sbin
   /usr/local/bin
   /usr/sbin
   /usr/bin
   /sbin
   /bin
   /usr/games
   /usr/local/games.

Simulator 'XXXXXsim' is ignored.

Process "Compile HDL Simulation Libraries" failed
(where XXXXX is mti_se/de/pe, questa, ncsim, ...)

PS : I give you my shell scripts / Makefiles that I use for to directly make the compilation from the command line immedialetly after I have something that is fonctionnal :smile:
(cf. certainly just somes seconds/minutes after that this work from ISE because we can extract used commands from the Console output)
 
Last edited:

That sounds really really weird, because ISim is the default simulator. All the others you mention are the optional simulators for which a seperate install is required. Isim should come with ISE right out of the box...
 

It's "only" all that I have just after the install of the Xilinx_ISE_DS_Lin_14.5_P.58f_4.tar archive ...

How can I install the missing ISim simulator ?

Note that I have to install ISE with root but run it using my personnal account
(this don't work if I'm not root :twisted:)

=> I haven't Xilink entries into my desktop menu bar and that the "Help/Check for updates" menu entry from ISE say this :
Code:
A disk write failure occurred. There may be insufficient disk space or you may not have write permission at the following directory.

/opt/Xilinx/14.5/ISE_DS/.xinstall
Press Retry to try again, press Cancel to exit XilinxNotify.
(+ the "Help/Xilink on the Web" from the ISE menu don't work)

=> **WHY** doesn't exist a **simple** .deb package for **easily** handle the installation/upgrade of ISE on a lot of Linux platforms such as Debian, Ubuntu or others derivatives ???

Because the installation via the .tar file is really a nightmare :(

* first we have to find a working .tar file (since the VIVADO package doesn't work on my Ubuntu 13.04 station for example)

* secondly, we have to install it from root

* finally this doesn't begin to work before to make a script shell like this :
(but this isn't really a big problem since all except the latest line can easily to be added at the end of $HOME/.bashrc for example)
Code:
#!/bin/bash

cd /opt/Xilinx/14.5/ISE_DS
source settings32.sh

export XIL_IMPACT_USE_LIBUSB=1

ise &
(I have very recently add the "export XIL_IMPACT_USE_LIBUSB=1" because I have read somewhere on the Web that this is necessary for to can handle the JTAG transfer to the FPGA)

Cf. why to make something difficult/very long to find/dowload/install and that doesn't work entirely on a lot of Linux plateforms when we can have something very simple to install/upgrade on the majority of Linux distributions using dpkg or apt-get (and .rpm files for Red Hat) ???

I have read on the debt of this thread that Xilink have a **UNIX** versions of tools
=> do you really think that all the UN*X/Linux family is only represented by Red Hat and SUSE ???

For the Linux part, I have for example finded this at https://pro.01net.com/editorial/367061/debian-ubuntu-lautre-alternative-linux/
Code:
Ubuntu se trouve installé depuis la dernière rentrée parlementaire sur le poste de chaque député. L'observatoire DesktopLinux le crédite de 30,3 % de parts de marché sur le bureau Linux, loin devant Suse (19,6 %), Red Hat (7,4 %) et Mandriva (3 %).
(this is a french link and what they say is that Suse + Red Hat are far to represent the majority of Linux distributions since they represent only 19.6 + 7.4 = 27% of totals installed Linux distributions in french desktops, so only about a quart of them [and I don't speak about others UN*X platform such as Mac OSX, AIX, Solaris, etc ...])

PS : I don't want specifically for .deb or .rpm versions of ISE, only a .tar archive but that can work on the **MAJORITY** of UN*X/Linux distributions, not on a very very ... very very limited subset of them ...
(Cf. give to Xilink the possibility for to have many more buyers for its processors and FPGA cards if you prefer a more commercial language ...)

-- UPDATE --
I have find this link https://wiki.archlinux.org/index.php/Xilinx_ISE_WebPACK and test if this can resolve my nightmare with the installation of working Xillink's FPGA tools on my Ubuntu station ...

-- UPDATE2 --
Mrflibble, I have resolved the problem about the "XPCOMGlueLoad error for file /usr/lib/firefox/libxpcom.so:
libxul.so: cannot open shared object file: No such file or directory Couldn't load XPCOM." for to have working ISE's "Help/Xilinx from the Web" entries

=> I have only replace the value into Edit/Preferences/HTML Browser from "/usr/bin/firefox -remote openURL(%1,new-tab)" to "/usr/bin/chromium-browser %1"
(this work too with "/usr/bin/firefox %1" but with the message "GLib-CRITICAL **: g_slice_set_config: assertion `sys_page_size == 0' failed")
 
Last edited:

The problem with ISim don't seem to be very new after to have read this at http://forums.xilinx.com/t5/Simulat...s-not-detectable-by-ISE-Navigator/td-p/168918 ...

And I have too Isim (VHDL/Verilog) into the Design Properties window but a lot of things **but not** ISim at the Target Simulator entrie into the Process Properties - General Options window :(

=> Xillink know the problem since august 2011 and this is always no resolved ???????????????
 
Last edited:

I have make a reinstall of all :

Code:
1) download Xilinx_ISE_DS_Lin_14.5_P.58f_4.tar

2) untar the archive

3) cd  Xilinx_ISE_DS_Lin_14.5_P.58f_4

4) run ./xsetup (after verification for the write permission into the selected repertory)

5) select USB cable driver

6) wait a long time for the install ...

6bis) but kill the two java process that block the install (near 85% and 91%)

7) correct ISE libstdc++ bad libs 

	cd /opt/Xilinx/14.5/ISE_DS/ISE/lib/lin

	mv libstdc++.so libstdc++.so-orig
	mv libstdc++.so.6 libstdc++.so.6-orig
	mv libstdc++.so.6.0.8 libstdc++.so.6.0.8-orig
	ln -s /usr/lib/libstdc++.so
	ln -s libstdc++.so libstdc++.so.6
	ln -s libstdc++.so libstdc++.so.6.0.8

	cd /opt/Xilinx/14.5/ISE_DS/common/lib/lin

	mv libstdc++.so libstdc++.so-orig
	mv libstdc++.so.6 libstdc++.so.6-orig
	mv libstdc++.so.6.0.8 libstdc++.so.6.0.8-orig
	ln -s /usr/lib/libstdc++.so
	ln -s libstdc++.so libstdc++.so.6
	ln -s libstdc++.so libstdc++.so.6.0.8

8) add ISE variables to the user's defaults variables (at the end of ~/.bashrc for bash)

	source /opt/Xilinx/14.5/ISE_DS/settings32.sh > /dev/null

9) launch ise

10) modify Edit/preferences/HTML browser to "/usr/bin/firefox %1"

12) create a very little project that use only this basic hello.vl :

module main;

  initial
    begin
      $display("Hello, World");
      $finish ;
    end

  endmodule

13) Run the Compile HDL compilation

But always the message "ERROR:Compxlib - COMPXLIB[sim]: Unable to automatically find executables for simulator 'XXXXX" :evil:
(I have a lot of others Target Simulators [that aren't finded too ...] into the Process Properties but not ISim :sad: )

=> how can I disable the simulation pass ?
(after all, I want **ONLY** compile/transfert a .vl file to my spartan 3E board, no need of a blocking simulation ...)

- - - Updated - - -

Since ISE seem a nightmare to use, I have tested without ;-)

So I have used a little script that I have found at https://www.pittnerovi.com/jiri/hobby/electronics/verilog/

Code:
iverilog -parch=spartan3 -o $1.edf $1.vl
edif2ngd $1.edf $1.ngo
ngdbuild -p xc3s500e-pq208 $1.ngo $1.ngd

=> but this don't want to work too :evil:
Code:
Release 14.5 - edif2ngd P.58f (lin)
Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
INFO:NgdBuild - Release 14.5 edif2ngd P.58f (lin)
INFO:NgdBuild - Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
ERROR:NgdBuild:196 - On or above line 1 in file "hello.edf":  Problem parsing
   "#".  This likely means that the EDIF netlist was improperly written.  Please
   contact the vendor of the program that produced this EDIF.
Release 14.5 - ngdbuild P.58f (lin)
Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.

Command Line: /opt/Xilinx/14.5/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -p
xc3s500e-pq208 hello.ngo hello.ngd

Executing edif2ngd "hello.edf" "hello.ngo"
Release 14.5 - edif2ngd P.58f (lin)
Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
INFO:NgdBuild - Release 14.5 edif2ngd P.58f (lin)
INFO:NgdBuild - Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
ERROR:NgdBuild:196 - On or above line 1 in file "hello.edf":  Problem parsing
   "#".  This likely means that the EDIF netlist was improperly written.  Please
   contact the vendor of the program that produced this EDIF.
ERROR:NgdBuild:276 - edif2ngd exited with errors (return code 2).
ERROR:NgdBuild:28 - edif2ngd did not successfully complete.  Please check
   preceding errors for root cause.
Total REAL time to NGDBUILD completion:  12 sec
Total CPU time to NGDBUILD completion:   4 sec

Writing NGDBUILD log file "hello.bld"...

=> edif2ngd don't seem to like the "#! /usr/bin/vvp" at the first line on the file hello.edf generated by iverilog :(

==> it's really possible to make a little FPGA's development on a Linux plateform ????
(I begin to have serious doubt about this ...)
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top