Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to program this into BLOCK DIAGRAM using QUARTS II. I have difficulties.

Status
Not open for further replies.

Yellow Jackster

Newbie level 2
Joined
Jan 26, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,305
A sensor is used to detect the number of bottles on the conveyor. The user can set any number of bottles he wants to detect on inputs: P4P3P2P1P0. Design a system such that when the reference number is reached, a led turns on and the counts stops at the reference number. Show the number of bottles detected on 5 Leds and the value of the reference on another 5 Leds. After the active-high reset is activated, the counting starts again, the counter returns to 0 and the led is off.


How to draw this programme into block diagram not VHDL using quarts II. I have difficulties in drawing this. There should be 11 LEDs total. But I can program only 6. 5 for q numbers, 1 for LED, But I still need 5 more for showing p number which is input. Any idea Please.




LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
USE ieee.std_logic_unsigned.ALL;

ENTITY conveyor IS

PORT(
sensor, reset : in std_logic;
p: in std_logic_vector (4 downto 0);
q: out std_logic_vector (4 downto 0);
led_on: out std_logic);

END conveyor;

ARCHITECTURE arc OF conveyor IS

signal count_sig: unsigned (4 downto 0);

BEGIN

process (sensor, reset)

begin
if (reset = '0') then
count_sig <= "00000";
Led_on <= "1";

elsif falling_edge (sensor) then
if count_sig/= unsigned (p) then
count_sig <= count_sig +1;
else
led_on <=’0’;
end if;
end if;
end process;
q <= std_logic_vector (count_sig);
end flow;
:p Thank You
 

what method are you using to 'draw' the block diagram? Are you using "file > create/update > create symbol files for current files" after compiling the above VHDL code? This should automatically give enough connections for all ports listed in the VHDL. Or are you saying you're trying to 'draw' a circuit out of discrete logic to complete the objective? If so, you can simply compile the VHDL, create a symbol file, then open it in RTL viewer to see how it's synthesised in adders, mux's etc.
 
I have already create a symbol for this VHDL. The one I use to draw block diagram is File>new>Block/Diagram. I use one symbol of conveyor, one decoder, VCC, inputs, outputs etc. The problem is the connection I am not sure how many decoder, how many conveyor symbols, how many input and output needed. The connection of wire and pins assignment also. Leds are also confusing. 5 Leds to show the numbers of q which is changing accordingly to the sensor or clock. The sensor need the input manually. And 5 more Leds to show the number of p. One more Leds to light up when q is the same value with p. Can you help me please? :cry::razz:
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top