Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Problems in logical sinthesis on Design Vision.

Status
Not open for further replies.

jgnr

Junior Member level 1
Joined
Sep 24, 2012
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,437
Hello everyone,

I'm using Design Vision to make the logical sinthesis of some filters and i'm geting some problems. So let's see them:

1st - Reading the library

Code:
read_lib D_CELLS_MOS_typ_3_3V_25C.db
Reading '/home//filtros/slogica/techlib/xh035/liberty/D_CELL/MOS/D_CELLS_MOS_typ_3_3V_25C.db' ...
Error: /home/joaonizer/filtros/slogica/techlib/xh035/liberty/D_CELL/MOS/D_CELLS_MOS_typ_3_3V_25C.db: Lexical Error on line 1 at or near ''. (SCAN-4)
Error: A syntax error is found before the library or phys_library group.
	The compilation is terminated. (LBDB-153)
0

OBS: I'm seting the librarys manually, i'm not using de .dc_synopsys_setup. In report files (timing, area and power) the library is used.

Here the set code goes:

Code:
set search_path {"."  "../../techlib/xh035/liberty/D_CELL/MOS"}
set symbol_library { D_CELLS.sdb  }
set target_library { D_CELLS_MOS_typ_3_3V_25C.db }
set link_library { D_CELLS_MOS_typ_3_3V_25C.db dw_foundation.sldb}
set synthetic_library { dw_foundation.sldb }
set verilogout_equation "false"
set verilogout_no_tri "true"
set write_name_nets_same_as_ports "true"
set verilogout_single_bit "false"
set hdlout_internal_busses "true"
set bus_inference_style "\%s\[\%d\]"
set sdfout_no_edge "true"
echo "Please use: set_fix_multiple_port_nets -all"
read_lib D_CELLS_MOS_typ_3_3V_25C.db
set hdlin_auto_save_templates "true"
define_design_lib work -path ./work

2nd - Some Warning about resolving references

Code:
Warning: Unable to resolve reference 'mult_TipoII_2Bits_1' in 'operando2_Multn16_m2'. (LINK-5)
Warning: Unable to resolve reference 'mult_TipoII_2Bits_0' in 'operando2_Multn16_m2'. (LINK-5)
Warning: Changed instance name U11 to U11_inst in module Fil_30_LP2. Please use the change_names command to make the correct changes before invoking the verilog writer.   (VO-1)
[\CODE]

There are more than 200 warnings like that, so i'd like to know if i need to worry about this, or how to correct them. I've been loking on my SV code and everything is Ok about the instantiations (in my point of view and knowledge). In the functional verification everything seemed to work.

[CODE]
\\Instantiation of MTII in operando 2:
mult_TipoII_2Bits MM1 (A1, A0, B3, B2, RP13, RP12, RP11, RP10);
mult_TipoII_2Bits MM2 (A1, A0, B5, B4, RP23, RP22, RP21, RP20);

\\Begining of mult_TipoII_2Bits module:
module mult_TipoII_2Bits(A1, A0, B1, B0, S3, S2, S1, S0);
input logic A1, A0, B1, B0; 
output logic S3, S2, S1, S0;
 

The first issue, I think there is problem in the library (D_CELLS_MOS_typ_3_3V_25C.db) as shown by the error. Is the library from the foundry?

Second issue, I think you use the same name for 2 instantiation.

Thanks.
 

The first issue, I think there is problem in the library (D_CELLS_MOS_typ_3_3V_25C.db) as shown by the error. Is the library from the foundry?

Second issue, I think you use the same name for 2 instantiation.

Thanks.

Yes, the library is from the foundry.

About the instantiation, i'll check the design, but what disgust me is about the number of warnings, it's more than 200 warnings. But i'll check, because there is a lot of module instantiations.


Thanks for answering.
 

Try this one
Code:
set link_library "* D_CELLS_MOS_typ_3_3V_25C.db dw_foundation.sldb"
The symbol '*' here means to use designs in memory as additional link libraries.

Regarding syntax error - do you really need in read_lib command? The tool will automatically read them during elaborate/link commands.
Try such sequence:
analyze <your_rtl_code>
elaborate <your_design>
link
 
  • Like
Reactions: jgnr

    jgnr

    Points: 2
    Helpful Answer Positive Rating
To solve warning 2: you may need use then command "uniquify" on the design, after your successfully link the design.
PS: For the function of this command, you can use "man uniquify" under DC.
And for each type of warnings, you can use "man LINK-5" to see the cause of this warning and may find some suggestion on how to solve this warning.
 
  • Like
Reactions: jgnr

    jgnr

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top