Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to reduce FPGA kit clock speed?

Status
Not open for further replies.

1nandha

Junior Member level 1
Joined
Aug 22, 2011
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,374
I have to implement my VHDL code in a FPGA kit, the clock speed of the kit is 50hz which is very fast and i cant view my output with that speed, how to reduce the kit clock speed?
 

Re: To delay clock speed

you can use embedded PLL or DCM.
 
  • Like
Reactions: sntsh

    sntsh

    Points: 2
    Helpful Answer Positive Rating
Re: To delay clock speed

Embedded PLL is using in Altera devices, but Pse tell me about DCM.
 

Re: To delay clock speed

DCM stands for "Digital Clock Manager" and is found in Xilinx device. It is digital PLL whose capability is not as robust as real analog pll, but it is capable of frequency division, frequency multiplication, synthesis, phase shift, etc.
 

Re: To delay clock speed

I have to implement my VHDL code in a FPGA kit, the clock speed of the kit is 50hz which is very fast and i cant view my output with that speed, how to reduce the kit clock speed?

First, You said very high speed, Did you really mean it is 50Hz? and 50MHz ?. I guess, 50Hz is not a high speed.
Second, what is your application\code logic and what type of output you get and how fast it is (In terms of freq) ?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top