Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Xilinx compiler problem

Status
Not open for further replies.

sribalaji

Junior Member level 2
Joined
Jul 12, 2010
Messages
23
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
India
Activity points
1,441
FATAL_ERROR:Simulator:Fuse.cpp:209:1.128.12.1 - Failed to compile one of the generated C files. Please recompile with -mt off -v 1 switch to identify which design unit failed.

I have this error when i try to compile in xilinx ISE(v13.0).

any suggestions to correct this problem?
 

I just fixed the problem. It seems there was a problem with environment variable assignment. used the following link.**broken link removed**.

thanks for the reply.
 

hello, balaji...
I'm facing the same error while trying to simulate my vhdl code in Xilinx ISE 13.1....
and also I couldn't understand how you solved this error...
Kindly tell me how to do so...
thanks
 

hello saur,
As suggested in the link which I provided above, all I did was to disable the environment variable listed. To disable the environment variable, right click My Computer -> select Advanced -> Select environment variable -> Delete the variables listed in the link.

Hope this helps.
 
  • Like
Reactions: saur

    saur

    Points: 2
    Helpful Answer Positive Rating
I came through Integrated Software Environment (ISE) 5.2i software, I want to as that is it the latest release or its an old one?
 

All those variables are not even present in my environment variables. Please if you could tell any other solution.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top