Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Run length count storage problem.

Status
Not open for further replies.

priyanka24

Advanced Member level 4
Joined
Jan 19, 2011
Messages
100
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Location
India
Activity points
1,976
Hi...
i have suppose 8 bit binary number.
for example consider it as 00101001, then in this i have to count run length i.e number of continuous 0's.
so for above number i get 3 run lengths N1=2,N2=1,N3=2.
suppose i hv another number consider it as 01100101, then i get 4 run lengths N1=1, N2=0, N3=2, N4=1.
i want to implement this in verilog but not getting how to do it. because i hv to count each run length and want to store it.
in this me getting problems are
1. How can i return to original sequence after counting the previous run length.
2. How can i store each run length and where to store and how as it can be any in variable numbers.
plz help me how to do it in verilog as its only one module of my project i cant go further.
 

Run length Encoding Algorithm Overview
h**p://michael.dipperstein.com/rle/index.html
 

Run length Encoding Algorithm Overview
h**p://michael.dipperstein.com/rle/index.html

Its not what exactly am asking. on the link u gave its just the introduction of RLE.
i want to implement it in verilog.
me facing problem in implementing the module which i have just explained in previous post.
so plz help if u can that
1.how to return to next bit in the binary number after getting 1st run length N1.
2. How to store and where to store the different run length we get. also run lengths we get is not fixed always we can get any number of run lengths.
so how to do it and how to solve this problems in verilog plz tel....
 

Run length code examples
h**p://rosettacode.org/wiki/Run-length_encoding
 
Run length code examples
h**p://rosettacode.org/wiki/Run-length_encoding
This is good site but verilog language program is not given in this. so not much come to know...
 

I feel a lack of systematic approach to your coding problem. The first point to clarify is the intended output data format of your Verilog module.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top