Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Quartus 2 problem Error (10327)

Status
Not open for further replies.

fypu

Newbie level 4
Joined
Sep 30, 2011
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,313
how to solve this problem?
"Error (10327): VHDL error at DU.vhd(51): can't determine definition of operator ""&"" -- found 0 possible definitions"

my VHDL code is:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
.
.
ALU_module: process (selP, selQ, P, Q)
variable sel: std_logic;
begin
sel := selP & selQ;
case sel is
when "00" => alu <= Q-P;
when "01" => alu <= Q - Q;
when "10" => alu <= P - P;
when others => alu <= P - Q;
end case;
end process ALU_module;
 

sel is defined as a 1 bit variable and then you're concatenating 2 signals which I assume are std_logic as well. So the concatenation result must be stored into a 2 bit vector not a std_logic.
 
  • Like
Reactions: fypu

    fypu

    Points: 2
    Helpful Answer Positive Rating
u didn't mentionedany declarations above. . ok tell me wat exactly u want to do . i will try to give solution.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top