Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synopsys Design Compiler library reading error (UI0-3)

Status
Not open for further replies.

aria62

Member level 2
Joined
Mar 19, 2006
Messages
46
Helped
5
Reputation
10
Reaction score
5
Trophy points
1,288
Location
IRAN-Guilan
Activity points
1,645
After reading my VHDL code in "Synopsys Design Analyzer", when i compile the design
using command window, the following error appears:
"could not read following target libraries: (UI0-3) your_library.db"
I only use IEEE library. How can i link this library?

this is my VHDL code:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux_2_1 IS
PORT(mux_in1 : IN STD_LOGIC;
mux_in2 : IN STD_LOGIC;
mux_sel : IN STD_LOGIC;
mux_out : OUT STD_LOGIC);
END ENTITY mux_2_1;

ARCHITECTURE mux_arch OF mux_2_1 IS
BEGIN
PROCESS(mux_in1,mux_in2,mux_sel)
BEGIN
CASE mux_sel IS
WHEN '0' =>
mux_out <= mux_in1;
WHEN '1' =>
mux_out <= mux_in2;
WHEN OTHERS =>
mux_out <= 'Z';
END CASE;
END PROCESS;
END ARCHITECTURE mux_arch;
 

What is your target_library definition? Command compile requires target_library.
 

I only type "compile" keyword. what else does it need?would you please give me an example? i use "design analyzer" gui for library link, but i dont know which library should i link to.
 

if you haven't specific technology library, you can use generic one, that comes with DesignCompiler. Example:

set target_library "<PATH to DesignCompiler root dir>/libraries/syn/gtech.db"
analyze <your_rtl_file>
elaborate

compile

Then, open GUI.
 

thanks,
but link design menu is not active to give a library path in gui. it is activated after analyzing and elaborating the design. how can i do this using shell and before reading the vhdl code?

regards
 

just type in the beginning

set target_library "<PATH to DesignCompiler root dir>/libraries/syn/gtech.db"

then, read your RTL
 
  • Like
Reactions: aria62

    aria62

    Points: 2
    Helpful Answer Positive Rating
i tried these in command window and got following errors:

set target_library "C:\Synopsys\libraries\syn\gtech.db"
Error: Undefined operator on or near line 1 at or near 'set'. (EQN-2)

design_analyzer> set target_library "C:/Synopsys/libraries/syn/gtech.db"
Error: Undefined operator on or near line 2 at or near 'set'. (EQN-2)

design_analyzer> set target_library C:\Synopsys\libraries\syn\gtech.db
Error: _fstdin: Lexical Error on line 3 at or near '\S'. (SCAN-4)

design_analyzer> set target_library C:/Synopsys/libraries/syn/gtech.db
Error: Undefined operator on or near line 3 at or near 'set'. (EQN-2)

so what is the correct syntax?
 
you must first set the target library file
using
set target_library filename.db
file and then compile it
 
  • Like
Reactions: aria62

    aria62

    Points: 2
    Helpful Answer Positive Rating
thank you all;
I solved problem using "setup>defaults" menu instead of "analyze>link design" menu to set the path and library.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top