Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by yangbay81983

  1. Y

    Urgent! about barrel shifter, Thank you!

    16bits rotate left can I write verilog like this: rotate_left: //Need 16 2-to-1mux to finish 1-bit shift left if (!Cnt[0]) in_stage2[15:0] = In[15:0]; else in_stage2[15:0] = {In[14:0],In[15]}; //Need 16 2-to-1mux to finish 2-bit shift left if...
  2. Y

    Looking for materials about implementing NAND2 and NOR2

    The question is about implementing the basic digital circuits like 3 to 8 decoder, requiring using only NAND2. Could some guys provide useful materials for selfstudy? Thanks.:D
  3. Y

    Constraints on output ports and something about AMBA

    Could Anybody answer my question: how to add input/output delay constraints on input/output ports of IP who connect external environments ? Thanks
  4. Y

    Constraints on output ports and something about AMBA

    This 30% is special for ports for I/O? and other output delay should be calculated, is that right? Thanks
  5. Y

    Constraints on output ports and something about AMBA

    Hi, when we make a sub-ip specification for DRAM controller before our RTL design, how to evaluate and add constraints on output ports who will be connected to SDRAM, Thanks! for example, set_load=? Another question is the result of synthesis of AHB bus. Does it contain only Arbiter and...

Part and Inventory Search

Back
Top