Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by xqiang

  1. X

    Help us with clocks in a count down counter design

    Clock Design HELP!!! You should use the gated clock as mentioned above, and the glitch between the 2 clock switch must be taken into account.
  2. X

    Examples and discussion about CRC implementation

    Re: CRC implementation Maybe the attachement will help you.
  3. X

    Actual example of using 8259s (PIC) in master/slave mode

    Maybe the award bios source code will help you a lot. you can search the web for the source.

Part and Inventory Search

Back
Top