Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by xiaoanime

  1. X

    conversion from verilog to vhdl

    Hi, i am trying to convert verilog code to vhdl, however i have some problem face at this part. Would like to double check it if i convert it correctly. assign oREAD_SDRAM_EN = ((x_cnt>Hsync_Blank-2)&& //214 (x_cnt<(H_LINE-Hsync_Front_Porch-1))&& //1015 (y_cnt>(Vertical_Back_Porch-1))&& //...
  2. X

    [moved] Help in writing a testbench for VHDL code

    This is the vhdl code I had, I am not sure how write a test bench for it. Anyone able to guide me through this? library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; entity ltp_controller is port ( iCLK: in std_logic; -- LCD...

Part and Inventory Search

Back
Top