Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vtomic85

  1. V

    VHDL - ModelSim - "Read past end of file" problem

    Hi! I want to read two integer values from a .txt file named "entry.txt", which is located in the project folder, with all of the .vhd files. -- ... variable inline : line; file inputFile : text open read_mode is "entry.txt"; variable mynum: integer; variable stdmynum: std_logic_vector (N-1...

Part and Inventory Search

Back
Top