Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vive-sri

  1. V

    vhdl code for frquency divider by 2,3,4,12

    thank you for ur code. i need to implement synchronous frequencies from one clock. can i divide by 3,4,6,12 in same coding?
  2. V

    vhdl code for frquency divider by 2,3,4,12

    hi, for my project i ve to get 4 different frequencies(10kHZ,20kHZ,30kHZ,40kHZ) from one clock. So I thought to take common frequency as 120 and going to divide by 12 , 6, 4, 3 inorder to get 10,20,30,40 kHz.. is it ok? i dont ve any idea. i hope u l help me.

Part and Inventory Search

Back
Top