Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vhdlnewb

  1. V

    BRAM ROM Implementation in VHDL

    But our professor is making us a ROM. Here i'll attach the block diagram of our system so you'll understand what we're making. Also the PDF for the list of instruction sets needed to code for the ROM. They are needed to be translated to binary value.
  2. V

    How can I describe a ROM in VHDL?

    Here's the block diagram of our system. And i've been assigned to program for a 256X16 ROM. Please code it for me. I badly need help. The PDF i've attached earlier is also very important.
  3. V

    BRAM ROM Implementation in VHDL

    Help Can someone help code me a 16bit ROM. (256*16 Rom) With the code similarly looking like this one: This is an 8bit ROM. Please help. I've attached a PDF to guide you with the instruction sets for the 16bit ROM. ______________________________ library ieee; use ieee.std_logic_1164.all; use...
  4. V

    How can I describe a ROM in VHDL?

    Re: How can I discribe a ROM in VHDL? Here's an example I found on the internet. It's a 32*8 ROM module. What I need is a 256*16 ROM module.. With the help of the PDF I attached earlier. The instruction sets are in it to guide me/you with flow. So does anyone have ideas? :)...
  5. V

    How can I describe a ROM in VHDL?

    Re: How can I discribe a ROM in VHDL? All I have is this type ROM is array(0 to 255) of std_logic_vector (7 downto 0); constant a: ROM := ( ); I don't know what to put inside the parenthesis. I'm not very sure on how to arrange the instruction sets logically.
  6. V

    How can I describe a ROM in VHDL?

    Re: How can I discribe a ROM in VHDL? I NEED HELP. I have no idea on how to code for ROM with VHDL. Our professor just gave us the instruction set (Harvard Architecture Processor) and it's up to us on how to code all the instructions logically. The ROM is 256x16. I've uploaded the .pdf with the...

Part and Inventory Search

Back
Top