Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
i though that the signals are analog and procesed by dsp. thats why i have posted the post in dsp. thank you for your suggetions
actually i dnt know how to write the code in order to call that file.
hi , i am final year MTECH student , i am doing final year project on ecg qrs detection. For this project i downloaded mit-bih arrythmia database. i want run the simulation in modelsim but i cannot get a way to do that can any one suggest me??
library ieee;
use ieee.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity comp is
port(a : in std_logic_vector(7 downto 0);
y : out std_logic_vector(7 downto 0));
end comp;
architecture dataflow of comp is
signal temp: std_logic;
begin
y<= not(a) + "00000001";
end dataflow...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.