Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Vazhipokkan

  1. V

    VHDL code for matrix multiplication

    As a part of my project, I have to evaluate the following expression to get my final answer. X = [H'*H]-1*H'*C where H' means transpose of matrix H. Here H is a 3*2 matrix and C a 3*1 matrix. All entries to the matrices are 8-bit binary numbers. Please help me by suggesting an algorithm/...

Part and Inventory Search

Back
Top