Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vardan

  1. V

    way is known by verilog AMS ....

    I used ModelSim years ago, it hadn't such possibility. Check the website for the current status. In the flows I worked with, Verilog-A will require analog simulator. Standalone Verilog-A design (without Verilog-D) can be simulated by some SPICE simulators.
  2. V

    way is known by verilog AMS ....

    Hi, There is a Verilog (in the context it may be referred as Verilog-D) to model digital processes, there is Verilog-A to model analog processes. Verilog-AMS allows modeling both analog and digital processes in the same module. You can consider that Verilog-D and Verilog-A are subsets of...
  3. V

    verilog-a in synopsys custom design

    Yaso, Synopsys has several analog simulators that support Verilog-A. As far as I know, only HSPICE creates *.tr0 files during transient simulation. Do you use HSPICE? Assume that you have a Verilog-A module, that is instantiated in SPICE deck. Substitute it with a dummy SPICE subcircuit. If...
  4. V

    how the file handling is being used in verilog ?

    Did you simulate the code or just compiled? It worked for me. If it is simulator specific issue, add timing delay before $fdisplay. Like "#10 $fdisplay..." Difficult to understand the purpose of the code. Prefer to do file I/O in the top module.
  5. V

    verilog-a in synopsys custom design

    I understand that you netlist your design then simulate it with HSPICE (.tr0). To debug look into actual netlist that is simulated. Are there statements like .print. .probe. .plot? .tran? When input files are correct, Verilog-A is handled properly :) Regards, Vardan
  6. V

    multiplexers for implementation of logic gates

    You may also encounter "Look Up Table(LUT) implementation of a boolean function". It's almost the same. Assume inputs form address of a ROM, and it's cell programmed to output values from your table.
  7. V

    data lines on multiplexers

    There are many ways to implement a multiplexer. One with transmission gates can work for analog buses. Transmission(pass) gate is a basic building block in IC design. Search the web for "multiplexer transmission gate".
  8. V

    data lines on multiplexers

    Hi Disha, Try to build a multiplexer using transmission gates. Regards, Vardan
  9. V

    verilog code to decide release time

    always @(negedge write_sel) t2 = $time; always @(posedge write_sel) if (($time-t2) > time_limit) $display("\n\tTime to release Q"); It's not a case to use $width. See if you can optimize the code.
  10. V

    How to use module instances in always block

    Think by register you mean reg type variables. The assignment is done exactly in the same way as assigning 1,0,x. Inside initial or always block, do blocking or non-blocking assignment. You'll need to assign "Z" values in testbenches or when bidirectional buses are modeled. always ... ...
  11. V

    verilog code to decide release time

    Task is not clear. >16ns or when write_select goes high? I would suggest using time variable See example code below. Adjust time_limit parameter to the timescale. `timescale 1ns/1ns module test1(); parameter hi = 1'b1, lo = 1'b0; parameter time_limit = 16; reg write_sel; time t1, t2...
  12. V

    how to write output in file (in veriliog)

    Hi Vinod, First open file using $fopen task in an initial block. Then you can use $fdisplay task. Let's assume your number changes on rising edge of the clock, then I would read its value on falling edge always @(negedge clk) $fdisplay(fid1,"%d", theNumber); Before ending simulation you'll...
  13. V

    [SRAM] memory Configuration Register

    I haven't seen SRAM memories with configuration register. Though it could be. The link is about DRAM memories, not SRAM ones
  14. V

    A simple Verilog question about rising edge of a signal

    Assume you need an edge detecting circuit. assign out = Q1 & (!Q2); always @(posedge clk or negedge rst) if (!rst) begin Q1 <= 1'b0; Q2 <= 1'b0; end else begin Q1 <= In; Q2 <= Q1; end
  15. V

    [MOVED] Even binary counter from binary counter...................

    Re: Even binary counter from binary counter................... This is binary counter output sequence: 0000, 0001, 0010, 0011, 0100,... 0 , 1 , 2 , 3 , 4 ,... Just add a bit after LSB of the counter above, and tie it to 0. 00000, 00010, 00100, 00110, 01000...

Part and Inventory Search

Back
Top