Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Utshash

  1. U

    [SOLVED] Getting each output twice from LFSR

    Thank you all. I see my mistake now and as suggested by all of you, I'll read the $monitor documentation. Thanks again. :) - - - Updated - - - Thank you all for replying and pointing out my stupidity. As suggested by most of you, I'll try to read the $monitor documentation as soon as possible...
  2. U

    [SOLVED] Getting each output twice from LFSR

    Hi. I am trying to make a 32'bit LFSR with a given initial state. I am posting the code and stimulus below. /*------------------------------------------*/ module lfsr (clock, reset, out); input clock, reset; output [31:0] out; reg [31:0] temp; wire newbit; xor...

Part and Inventory Search

Back
Top