Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tumkayaonur

  1. T

    [SOLVED] Spartan 6 Electrical Characteristics

    Thank you for your explanations. As I understood, each bank has different voltage inputs and each bank's inout pins got values according to voltage supply. For example, If Bank0's supply voltage is 3.3 V, all of inout pins in this bank will be 3.3 V. I will take into account DS162, UG381...
  2. T

    [SOLVED] Spartan 6 Electrical Characteristics

    Hello; I am designing an electronic card that includes FPGA (spartan 6 XC6SLX45 - 2CSG484C). Is all inout pins of Spartan -6 3.3V ? I checked Spartan 6 evulation board. Supply voltage bank have different input values. For example; some voltage inputs 1.2 V and some of them 3.3 V. Is each...
  3. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    Now I can get data from device, than you for your explanations and suggestions.
  4. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    I suspect state repeated start and ack state after i2c address reading. I send register enable on before read state and gets ack but still data line seems low. My general algorihm like that, firstly write data to enable register then reading data. START -> I2C ADDRESS + 0 -> ACK -> REGISTER...
  5. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    My hardware description is true. On evaluation kit this circuit have already presented. I removed ACK state (STATE_ACK3) after when I2C address sent, and I got more reasonable result. Circuit gets ACK wait DATA transfer. I try to read green low byte from address 0x98, repeated start and read...
  6. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    I defined scl high-z or 0. assign sda=(sda_reg==1)? 1'bz:1'b0; assign scl=(scl_reg==1)? 1'bz:1'b0;
  7. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    I drove SDA high z and 0, otherwise I can't communicate with device. I can get ACK in first pic which I sent device address and register address. But I can't get proper data in second pic. Maybe there is something wrong in start condition. Read status in states STATE_ADD_CALL2, RW2 and ACK3. I...
  8. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    Thank you very much for your suggestions and helps. I revised again verilog code, and I can get ack when I sent both Device Address and Register Address. Repeated start condition have been adapted into code, Outputs and verilog code below. There's some mistakes when I sent second I2C...
  9. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    How must I drive SDA and SCL lines ? Must I use z and x instead of 1 and 0 ?
  10. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    I revised verilog code according to you said. I sent 1 byte and wait 1 bit ACK. But still can't get any data from slave. Circuit have pull up resistors. True I2C address = 0x39 I drove SDA high or high-z when wait ACK or data. Evalkit sent by producer scope output: Verilog implementation...
  11. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    You're right, there is valid voltage level in real circuit. I tested change in ack and data bit as shown scope out. Blue lines in the test bench are drived HIGH in real circuit. Is driving SDA HIGH-Z when we expect to get data incorrect ?
  12. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    I checked device with evulation kit, fortunately It's not damaged and works properly. Now I drive SDA and ACK bits with HIGH-Z Test bench is below.
  13. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    I revised verilog code according to your post. module i2cio3( input wire clk, input wire reset, inout wire sda, output wire scl, output reg [7:0] data, output reg [7:0] state, output wire i2c_clk ); localparam STATE_IDLE =0; // localparam STATE_START_WRITE =1; //...
  14. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    I've an evaluation kit and I'm implementing code on this: Evaluation kit has already pull up resistor. I checked connections between FPGA and evalkit. I'd revised verilog code and written I2C address into code. But there is no change in data, still can not get any value. I tried to send...
  15. T

    [SOLVED] [moved] Can't get data from I2C slave register with FPGA

    Why I need use pull up resistor ? Input voltage of sensor is enough to communicate. Is that necessary to get data in address ? I must point address, and I made it wrongly. For instance in SDA line, I send address value to sensor as 0x98 but in scope outgoing address vallue seems different...

Part and Inventory Search

Back
Top