Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by trurl

  1. trurl

    GUI engineer, the Netherlands

    A High-Tech startup in the Netherlands (recently funded) Open position: GUI engineer Your responsibilities: Develop a powerful set of Eclipse plugins that implement a graphical user interface for our C-to-FPGA compilation environment Collect GUI requirements and constraints from customers and...
  2. trurl

    Need help with converting C to ASM for SiLabs C8051F064

    Need help with C->ASM Hi All, Could anybody help me with the following? I'm not familiar with microcontroller programming (especially asm) and I need urgently to write in assembler for SiLabs C8051F064 a short program equivalent to: void main() { char a[256]; char b[256]...
  3. trurl

    Need help with Xilinx XPower

    xpower xilinx Hi All, I have very little experience with Xilinx and design with FPGAs, so can anybody help with the following: how can write a testbench (vhdl) for Xilinx ISE Simulator (ISE ver. 8.1i), so that I can see waveforms after simulation and what do I have to write in the testbench...
  4. trurl

    What functions are declared in "string" module ?

    Hi All, I'm a beginner with c++ (MS VS 2005). I need to use module "string". I tried to find in MSDN what functions are declared in this module, but did not succeed. Could anybody help looking for this info in MSDN? The problem is that I get a lot of search results, but none of them is about...
  5. trurl

    why is it not synthesizable?

    To omara007: I have tried the code that you mentioned. The waves are wrong, the mac unit does not work properly. The reason I use my (rst'event and rst= '0') statement is that I need to generate automatically reset pulse. Since my code is not synthesizable, may be you could advise how to...
  6. trurl

    why is it not synthesizable?

    To vfdff: Thanks. Why is that?
  7. trurl

    why is it not synthesizable?

    To omara007: Thank you. I added in1 and in2 to the sensitivity list, because Xilinx was giving warnings about them missing in the list.
  8. trurl

    why is it not synthesizable?

    To echo47: I have already tried it, it synthesizes, but with this I get wrong waveforms in my design. Thanks anyway.
  9. trurl

    why is it not synthesizable?

    I have tried it, it did not work in my experiment at least :-(
  10. trurl

    why is it not synthesizable?

    Bis, thank you. Since I'm a beginner, could you explain what is "async reset" is it something like this ... if (rst = '0') then ...
  11. trurl

    why is it not synthesizable?

    Hi, Can anybody tell why the following code can not be synthesized? Xilinx says signal acc can not be synthesized. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; entity mac is Port( in1 : in signed(11 downto 0); in2 : in signed(11 downto 0)...
  12. trurl

    Are there any good digital IC designers here?

    Re: Digital IC Designers Just for information. Do you hire from non-EU countries? Regards.
  13. trurl

    Job in Russia for Analog IC Designer

    Интереса ради, какая предлагается зарплата?
  14. trurl

    Help me synthesize my VHDL code

    walkaround signal emule By chance, do you have these books in electronic form? Regards.
  15. trurl

    can any one guide me regarding the C

    c programming modern approach singapore Try Jesse Liberty. "SAMS Teach yourself C++ in 21 Days." To me, it explains everything nicely. Best regards.

Part and Inventory Search

Back
Top