Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tosunco

  1. T

    Vhdl process problem. Need help !

    K-J thank you for reply. Actually I wrote it with "begin" but the program gave me more than one error about my variable "converted" I defined this variable but It says "undefined symbol" and "unexpected variable" for all the lines which have "converted"
  2. T

    Vhdl process problem. Need help !

    library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_ARITH.all; use IEEE.std_logic_UNSIGNED.all; use ieee.numeric_std.all; entity sevenseg is port ( sw: in std_logic_vector (7 downto 0); clk: in std_logic; ssegon: out std_logic_vector(3 downto 0); sseg: out std_logic_vector (0 to...

Part and Inventory Search

Back
Top