Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Hi all;
I can't understand the difference between locally & globally static expressions in vhdl . LRM is not clear for me , can anyone provide good explanation/examples
to illustrate this
Thanks
hi all
I need to know the best way to learn functional verification .
Through web browsing i reached this conclusion (correct me if i am wrong)
functional verification has different technologies
1]Assertion based verification : where u write assertions into ur vhdl/verilog code
using...
manchester encoder vhdl
hi vintujose
Chapter 10 in Pong P.Chu book "RTL hardware design using vhdl" contains a manchester encoding circuit vhdl code . u can find the book here on
Re: floorplanning
i need to know at first what is floorplanning ? how to floorplan ? floorplanning algorithms ? a step-by-step floorplanning example and plz in english
Well actually I want to work as a digital IC designer (ASIC/FPGA)
but the problem is that I'm an 2006 graduate and I have no work experience at
all (thanx to army) . I'm located in Nasr City , Cairo .
hi all
I need help regarding vlsi recruitment websites in Egypt . I have just completed
my military service and I ' m currently looking for a job in this field
parallel port interfacing bibin john rapidshare
hi
i need to know if there is a pin on parallel port on which i can c the parallel port
clock when writing or reading data from port .. the parallel port is in ECP mode
.. thanx in advance
Re: Vhdl questions
1) here is a decoder code in which i m using enable and in the attached figure as u can c it is implemented as a register with enable as a clock :
code :
--------
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
port (
enable : in std_logic ;
data : in...
Vhdl questions
hi
i have two question
1 -when i write the following lines
process(enable)
begin
if (enable = '0') then
do sth..
it is hardware implemented as a register with enable as a clock input
but i dont want the enable to be a clock
2 - any one knows how to simulate INOUT pins in...
hi all
i m using EP1C3 FPGA, -8 speed grade , i want to assign its internal clock
as the input clk to a 4-bit counter whose VHDL code is as shown
entity counter is
port (
enable,clk : in std_logic;
count : out std_logic_vector(3 downto 0)
);
end counter...
hi
i need to know if there is a command in vhdl which can do the following :
if (signal changes) then
do sth..
and if the answer is no then how can i do it ?
thnx in advance
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.