Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tiennghe27

  1. T

    Plot SRN and bit error rate of a rectangle pulse MATLAB?

    hello everyone, i need your help i need plot SRN and bit error rate of 1 rectangle pulse this is code i write to plot pulse rng default Tpulse = 200e-3; Fs = 1e2; t = -1:1/Fs:1; x = rectpuls(t,Tpulse); y = 0.1*randn(size(x)); s = x + y; pulseSNR = snr(x,s-x) figure(1) plot(t,x) figure(2)...
  2. T

    Autoencoders Deeplearning with parity 3 bit

    Can you explain to me how to train parity 3 bit with autoencoders deeplearning? . Thank you
  3. T

    cadence simulation problem (obtain desired timeframe))

    how much value strobe i can set?. this is first time i hear it. thank you
  4. T

    cadence simulation problem (obtain desired timeframe))

    Can you say more clearly. i dont understand strobe period, how can i do it
  5. T

    cadence simulation problem (obtain desired timeframe))

    HI I'm trying to simulate a circuit. I want to do transient simulation with a time 1s and 2s but if time is 1s, it have enough pulse i want. and if time is 2s, it havenot enough pulse i want. I changed maxstep and step .
  6. T

    [SOLVED] change width pulse when simulate problem

    i simulate a pulse, but it is lost some pulse when simulate. can you tell me why?
  7. T

    [SOLVED] change width pulse when simulate problem

    hi everyone, can you help me? i write this code, a pulse with period 300ms. then it delay 200ms. but when i measure, width pulse change, i got 0.001ms and 0.0001ns // VerilogA for baitap, ngovaox1, veriloga `include "constants.vams" `include "disciplines.vams" module ngovaox1(plus2,minus)...

Part and Inventory Search

Back
Top