Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by thecolororange

  1. T

    Delay counters in three process state machines

    3-process statemachine @pev: Thanks, that's what I was looking for. I'm guessing it would be better to move each delay (there are about 3 different delays I need to generate) into separate processes, rather than putting all 3 into a single process?
  2. T

    Delay counters in three process state machines

    state machine delay I'm trying to change some existing code to improve timing and the quality of the code in general. One of the ways I'm trying to do this is switching from using a single process for a state machine to dividing it into 3 processes (state advance, output, state change). I'm...

Part and Inventory Search

Back
Top