Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by thanhmssl10

  1. T

    A interesting question about use PC817 Optocoupler

    I think this problem is so interesting, I use PC817 like Datasheet, I connect pin 1 to a resistor 200 and a pin ( transmit PWM) from MCU, pin 2 to Ground, pin 3 to Power and a resistor 3K, pin 4 to Ground Wow, it not work! But If i add a diod to pin 1 It Work! I Can't understand this problem...
  2. T

    Problem of DRC error in Ares Proteus (have project file)

    It's my Screenshot of circuit, please tell me how to fix this error
  3. T

    Problem of DRC error in Ares Proteus (have project file)

    I don't know what your mean, i got a DRC error when I connect pin 31 with pin 40 and i can't find reason of it, see my project file, plz help me,
  4. T

    Problem of DRC error in Ares Proteus (have project file)

    When i connect pin 31 to pin 40 of 89C51 ,I got a DRC error in Ares and i can't find reason of that error. Project file below (Proteus 7.8) please help me
  5. T

    [SOLVED] Problem of Max232 and 89C51 simulation Proteus (have project file)

    Aha!, now, i knew why when I put Virtual terminal after max232, It show wrong data, and when I choose "Invert" in menu of virtual terminal, It show true data. Thank for help
  6. T

    [SOLVED] Problem of Max232 and 89C51 simulation Proteus (have project file)

    Thank you so much, I do like that and It's work, but i want to know why when simulation, max232 not work
  7. T

    [SOLVED] Problem of Max232 and 89C51 simulation Proteus (have project file)

    (Have Project file below) Like tile, I have some problem with Circiut have max232 and 89C51, transfer data over COM port (Simulation by Proteus 7.8 SP2 and Virtual Serial Port Driver 7.2 Trial) According to circuit I saw at internet, pin 2 of COM connect to pin 14 of max232 and 3-13 (Rx-T1OUT...

Part and Inventory Search

Back
Top