Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tdminion

  1. T

    FIFO depth required for async FIFO

    Sun_ray, There are no short cuts for FIFOs. 1st you have to understand the architecture of a simple fifo that passes gray encoded pointers across the async boundaries. Then you can draw timing diagrams for the read/write pointers. FIFO's are very simple but you have to understand the...
  2. T

    order of .Synopsys_dcsetup files

    1) Synopsys root directory 2) your home directory 3) current working directory reasons for the order should be obvious....
  3. T

    FIFO depth required for async FIFO

    Your question needs to be more specific. For instance, if you have full/empty flags, your fifo depth can be '1'. It will stall the writes, but it will still 'work.' As for NO full/empty flags, it depends on the clock frequencies and how often you can push and pop the fifo. Also, is the...
  4. T

    Need guideline for NRZI output related question

    In the link that I provided, the "32-bit Register" example shows how to reset your flip flop. Also look at the "32-bit Register Testbench," it shows how to instantiate your "nzri" module in the testbench. That's all you have to do.
  5. T

    Need guideline for NRZI output related question

    I think you need to do some more studying/reading. These are very,very basic verilog questions that can be found on most websites. Typically you should have 2 modules, one module contains the design and the second module contains the testbench. The design module should be instantiated in the...
  6. T

    Need guideline for NRZI output related question

    In your 1st posting, you state, "...write a verilog code that will accept serial data and clock ...". Your (synthesizable) verilog module will simply list 'clock', "reset", and "serial_data_in" as inputs and "nrzi_data_out" as an output - same as the top level module that you have seen in...
  7. T

    how many memorys with one memory bist constroller ?

    is there a short list of factors that the typical industry approach takes into consideration? lostinxlation, you are person of few words :)
  8. T

    [SOLVED] Amba axi bus design steps

    Start with a list of functionality that you intend to support. Not everything in the spec is required. If you want to include APB and AHB with AXI interconnect, then yes, you would need some sort of bridging function. You also need to look at what architecture you intend to support (i.e...
  9. T

    mixing clocks in a scan chain

    Thank you 'lostinxlation.' If the latency of all clock trees is less than 1/2 a "shift clock cycle", then there is no chance of metastability. I don't fully understand the clocking waveforms for scan shift and capture with respect to "At Speed" tests and how the lock-up latch will work in...
  10. T

    mixing clocks in a scan chain

    This is a relatively novice question (i.e. I'm a novice at running DFT tools), but a chip could have quite a few lock-up latches. How would you go about determining a single "shift clock frequency" that works for all scan chains? It doesn't seem pausible that you would just 'change' the clock...
  11. T

    Stitching up signals through RTL modules hierarchically

    "verilog mode" will work if the signal names are consistent. I believe you can run it in a batch mode so it will work from bottom up. Just search for "verilog mode" on the internet.
  12. T

    mixing clocks in a scan chain

    assuming that their are two clock domains (clk1 and clk2) separated by a lock up latch, don't you also have the restriction that the rising edge of clk2(later domain in scan chain) must not violate setup and hold of the Latch output signal? To be clear, you cannot pass a signal from one...
  13. T

    Specman(e) Questions

    you could use: run() is also { } to start a process at the beginining of simulation in that process, synchronize to the rising edge of pll_clk and emit a new event pll_clk_1st_rise
  14. T

    Why Data Stobe is required?

    If the DQS is shifted until it samples a high clock in the DRAM (for write leveling), how is the relationship between DQS and DQ maintained while the DQS is changing phase? Is there a DLL for each memory controller DQ that uses DQS as a reference?
  15. T

    [SOLVED] Question on jitter and eye diagram

    Your english is tough to understand....but jitter comes from many sources (pll noise, inter-symbol interference, x-talk, etc.). Jitter is usually measured over a period of time to hit all scenarios with respect to ISI. If you want specific answers, you may need to ask a more detailed question.

Part and Inventory Search

Back
Top