Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tchad

  1. T

    [SOLVED] Looking for Synopsys documentation on their outputs formats used in PrimeTimePX

    Hello, thank you all for the help. With the information I got from you all I managed to put together the rest. Thanks!
  2. T

    [SOLVED] Looking for Synopsys documentation on their outputs formats used in PrimeTimePX

    Hello, I need to parse the .out file format used to store the power calculated in the Time-Based Power Analysis by PTPX. The output is set by the command: set_power_analysis_option -waveform_format out Could anyone point me to some reference documentation describing the format? The file...

Part and Inventory Search

Back
Top