Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by tangqin55

  1. T

    timing report after P&R in encounter?

    could you tell me more details? Thanks a lot!
  2. T

    timing report after P&R in encounter?

    I used rcOut to get spf and spef file. But I prefer to get the interconnect delay information. I saved the timing report, but I only see the gate delays (shown as following). How does CTE calculate the intrconnect delay? Path 1: MET Path Delay Check Endpoint: N421 (^) Beginpoint: N82 (v)...
  3. T

    timing report after P&R in encounter?

    After P&R in encounter, I saved the timing reports. However, in postRoute_in2out.tarpt, I only see the delay of every gate in each path. There is detailed RC information, the interconnect delay should be calculated during timing analysis, where is it? What engine is called by encounter for...
  4. T

    how to determine the parameter of set_max_fanout?

    The max_fanout is not defined in all .lib files I have. I think those .lib files are correct.
  5. T

    .rspf file, How to get it???

    I used encounter to do place and route, then I get the timing files and .spf and .spef files of the layout information. But I prefer to have .rspf (reduced standard parasitic format) file because I need to know the pi model of every node. How to get it? I checked rcOut. It doesn't support...
  6. T

    interconenct model in pre-layout timing

    When using RTL compiler. I used the following setups: set_attribute wireload_mode top set_attribute interconnect_mode ple set_attribute library {nldm.lib} set_attribute lef_library {**.lef} set_attribute cap_table_file {**.capTbl} ........ synthesize...
  7. T

    how to get the timing report of all paths?

    I tried report timing -to [all_outputs] > timing.rpt, but in the timing.rpt, I still got one critical path timing. Actually, I want to know every gate load capacitance the RTL complier used for a big circuit. Then I can check the accuracy of NLDM w.r.t. spice/spectre simulation. is there...
  8. T

    How to get MOS capacitance in HSPICE?

    in Hspice, LX18-LX34 can be used to get the parasitic capacitance of a MOS device. such as LX34(m)=CDSBO. I read some examples, it seems that I have to run .dc or .tran if I want to get those 9 caps. What about if I just want to use .op?? I tried it, but I got nothing...
  9. T

    Why gate level simulation though STA check functionality.

    The constrain is determined according to the requirement of design. So, it should be decided by people... For digital circuits, the timing must meet the corresponding requirement otherwise the results from the circuit will be erroneous. sdf can be used to check the functionality of the circuits...
  10. T

    timing analysis results from 3 different gate models: ccs,ecsm and nldm

    ccs: current source model from synopsys ecsm: effective current source model from cadence nldm: nonlinear delay model they are used for static timing analysis. During timing analysis, the .lib or .db(Primetime) are needed. differen .lib or .db file use different gate delay models like ccs.
  11. T

    timing analysis results from 3 different gate models: ccs,ecsm and nldm

    I've read <PrimeTime@ user guide advanced timing analysis> and <synthesis variables and attributes>. Thanks a lot.

Part and Inventory Search

Back
Top