Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by symlet

  1. S

    how to convert .txt to .coe file

    Hai rberek, Just use text editor to create the .coe file. Thanks for give me the solution
  2. S

    how to convert .txt to .coe file

    Hai rberek, Can I write the list of memory contents with a simple header in notepad? How to save it by .coe? Or there is any free software to create .coe file? Thanks for reply
  3. S

    how to convert .txt to .coe file

    Hai all; I try to do some tutorial about create a ram using coregen in xilinx. I follow the steps listed in the pdf file (I get from google). However, I didn't have the .coe file and I try to create my own .coe file. My question is how to create .coe file? And if possible, how to convert a .txt...
  4. S

    Xilinx ISE: Error Security : 12

    Hai rberek, I have fpga single board RIO (sbRIO 9632) with Spartan device. In Labview there is tool/vi that I use to select this fpga (sbRIO9632). Moreover, labview allow user to use a bitfile for take part in the project. I have the fpga target in labview, but I don't have the fpga target in...
  5. S

    Xilinx ISE: Error Security : 12

    Hai rberek and mrflibble, Actually I used ISE to write some process (vhdl code). Then, I used another software which Labview to build the whole process and insert the bitfile of the vhdl code. Labview will check the syntax error of the bitfile and download it in fpga when I run the project. I...
  6. S

    Xilinx ISE: Error Security : 12

    Hai all, I have fpga board with spartan device (xc3s2000) and I use Xilinx ISE Design Suite 14.2 that I download from internet. My problem is when I select device xc3s2000 in ISE and compile the vhdl code, this error message come out: ERROR:Security:12 - No 'xc3s2000' feature version 2012.07...
  7. S

    Resize function in vhdl

    Hai TrickyDicky, Thanks for your suggestion. I would try it. Thanks
  8. S

    Resize function in vhdl

    Hai TrickyDicky, Actually, I use std_logic_vector at all my operation (others port map). That why I casting it back to the same data type. Btw, thanks for reply, I understand about the adding zero in the line code now. Thanks in advance.
  9. S

    Resize function in vhdl

    Hai FvM, Below is the data types of the signals: signal dcto_1 : STD_LOGIC_VECTOR(DA_W-1 downto 0); signal romedatao : array(0 to 7) of STD_LOGIC_VECTOR(13 downto 0); constant DA_W : INTEGER := 22; I understand now why the sum is 384. As you said concetrating a zero at the...
  10. S

    Resize function in vhdl

    Hai all, I have confusion with resize function in vhdl. I have write a line of code as below: if even_not_odd = '0' then dcto_1 <= STD_LOGIC_VECTOR(RESIZE (RESIZE(SIGNED(romedatao(0)),DA_W) + (RESIZE(SIGNED(romedatao(1)),DA_W-1) & '0'), DA_W)); When I...
  11. S

    Addressing a look-up table (LUT)

    Hai TrickyDicky, Did you mean an input 0-16 will give a same LUT value? Then 17-24 will give another LUT value? I already build the LUTs (256 entries) and connect all the 8bits of the X as the address. It successfully run in ModelSim for testbench. However, I don't know how to check whether...
  12. S

    Addressing a look-up table (LUT)

    Hai TrickyDicky, I have build a LUT as shown below (based on your advise). Address Data 0000 0 0001 h0 0010 h2 0011 h0+h2 0100 0 0101 g0 0110 g2 0111 g0+g2 1000 0 1001 h1 1010 h3 1011 h1+h3 1100 0 1101 g1 1110 g3 1111 g1+g3...
  13. S

    Addressing a look-up table (LUT)

    Yes, I have 16 entries (with 4 constant). Btw, how to put a right values into a right parts of the LUT? I know the general steps/ways to build the ROM as what I do now (split to 2 LUTs), but I don't know the complex LUT. Hope you can advices.Thanks in advance
  14. S

    Addressing a look-up table (LUT)

    Hai TrickyDicky, Did you mean (4 downto 1) for address and bit (0) for even/odd select? I split the table due to the mathematical equation as I show at the previous thread. I'm not sure if I combine all the 8 constant into one table I will get the right results. Thanks in advance
  15. S

    Addressing a look-up table (LUT)

    Hai TrickyDicky, I build 2 4-entry LUT. As you said, I use the LSB (3 downto 0) of the input data (7 downto 0). How to select either LUT1 or LUT2? Can use bit (4) of the input data to make the selection? Thanks in advance

Part and Inventory Search

Back
Top