Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Surah al Waqaih

  1. S

    drc error

    Hey there! It sounds like you're dealing with a tricky issue in your power planning process. The end-of-line keepout zone violations on metal1 inside standard cells can be quite frustrating to deal with, but don't worry, we can work through this. Firstly, let's break down what might be causing...
  2. S

    [SOLVED] Multi independent PWM

    Another option is the TLC5947 from Texas Instruments. It's a 24-channel PWM driver with a serial interface, allowing for independent control of each channel. You can program it using a variety of microcontrollers and interfaces.
  3. S

    2D MoS2 FET

    As the channel length decreases in 2D material-based FETs, such as MoS2 FETs, the threshold voltage (Vt) typically shifts towards lower values. This phenomenon occurs due to the increased influence of short-channel effects, such as drain-induced barrier lowering (DIBL), in shorter channel...
  4. S

    Port is not connected when using top module

    Issue: MOSI line remains unconnected when instantiating SPI_slave and led_blink modules together. Recommendation: Check top-level module for proper signal connections and clock domain synchronization. Debug systematically, focusing on signal names and unintended interactions.
  5. S

    How to instantiate another vhd file inside testbench, where the testbench is used for opening files

    To integrate vhdl_code.vhd into Read_File.vhd, consider making Read_File.vhd the testbench. Instantiate vhdl_code as a component in Read_File.vhd and connect it to the data read from data2fpga.dat. Use appropriate signals or variables for communication. Ensure proper synchronization and simulate...
  6. S

    [SOLVED] VHDL code "skip" a line in write procedure

    Code looks good! To tackle the skipped line issue, double-check the timing of test_case transitions. Simplifying the test case handling might enhance clarity. Happy coding

Part and Inventory Search

Back
Top