Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sunrise

  1. S

    power plan in soc encounter

    two options: 1. plan the power at top level before partition 2. plan the power for every partition and make the power stripes as a power pin. And connect those power pins at top level
  2. S

    define the verilog netlist model

    If you only want to run gate level simulation by verilog-xl, it's not necessary to import netlist to icfb. You can run verilog-xl directly. For example, verilog $verilog_netlist -v $verilog_library -y $verilog_library_path $verilog_library can be found in which library installed.
  3. S

    who can tell me? ! thanks! urgent!!!!

    You may also try this setenv LD_LICENSE_FILE $license_file (for license setup)
  4. S

    Timing mismatch between SDF and RSPF

    Hi all, Do you have ever met this case? Timing result based on RSPF file is different from that based on SDF file. The RSPF file was extracted from post-layout database by HyperExtract. And the SDF file was converted from that RSPF file by Pearl or PKS. The design can pass STA in PKS with RSPF...

Part and Inventory Search

Back
Top