Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by suganthitechno

  1. S

    How to write a Verilog program to read binary data from text file?

    hi I need to read 1024 bytes from text file in binary form. In my program , i have memory [7:0]mem[1023:0] and i need to write binary data from text file to this mem. After getting binary data into the mem, i have to do some image processing manipulation(texture analysis) and write the result...
  2. S

    How to write a Verilog program to read binary data from text file?

    How to write a Verilog program with large input size - 1024 bytes? any shortcut? hi, I am trying to develop verilog code for 1024 individual byte input..verilog does not allow array of vectors as input or output..i know i can use reg [7:0]mem[1023:0] and assign inputs to mem. but do i have to...

Part and Inventory Search

Back
Top