Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by subhrojyotisarkar

  1. S

    AMS: Saved signals get automatically deleted from the psf at the end of simulation

    Hi, I am trying to run a AMS Simulation for an ADC. The problem is that some of the signals automatically get deleted from the psf directory once the simulation finishes and so I am not able to plot them. I can plot those signals while the simulation is still running and I have explicitly saved...
  2. S

    Load Capacitance for 110nm technology

    Hi, Can anyone please tell me what load capacitance to use when working on 110nm technology to design an opamp? I remember it used to be 500fF for 180nm technology node. How to determine this value? Thanks...
  3. S

    Frequency spectrum in cadence

    The calculator in the waveform viewer in cadence has a DFT function. Use that. You can tweak the values in the required fields to get the desired accuracy...
  4. S

    DRC errors in 90nm technology layout

    Hi, I am designing a circuit using UMC 90nm technology and generated the layout automatically. But I am getting certain DRC errors, some of them being: i) metal corners should be 135 degrees ii) vertice not on grid Please suggest some way out of it. Apart from this, the DRC rules file had...
  5. S

    4kb 4-ways set associative Cache Design

    Hi, Are arrays in verilog synthesizable? If yes, then how? Currently I made a long one dimensional vector of regs with a total length of 32768. And the addressing is a bit complex. Also, the RTL Compiler crashes while elaborating my design. It is not showing any error and starts elaborating. But...

Part and Inventory Search

Back
Top