Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by srpronto

  1. S

    Reading and Writting to a RAM at the same time

    I removed that signal completly and i'm now using the address input directly. Do you think that this "register bank" (and i admit it really is that) is fine? From my VWF it seems to work just fine but something with the clock should be wrong. In a hour i'll test it again and see if i'm successful.
  2. S

    Reading and Writting to a RAM at the same time

    Hi guys, I'm doing a project and I came to a problem, maybe two. I'm using a RAM that stores 18 : std_logic_vectors(6 downto 0). I'm using two counters in this project, one changes the adress and the other changes the value on that adress. Both values of the counters are being shown on the HEX...

Part and Inventory Search

Back
Top