Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sleary

  1. S

    How to write a function in Verilog which takes a vector as input and outputs a vector

    Very simple... function [7:0] convert_nibble_to_char; input [3:0] data; begin convert_nibble_to_char = (data < 4'd10) ? 8'h30 + data : 8'h3f + data[2:0]; end endfunction // convert_nibble_to_char

Part and Inventory Search

Back
Top