Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by SivabalanBalasundram

  1. S

    Looking for SMBus decoder file for ADT7461A sensor

    Hi people, I am currently working on a I2C Analyzer which i m using it to monitor the CPU Thermal Sensor SMbus. I manage to extract the signals form the CPU Thermal Sensor but i m having problem decoding them cause they are in hex numbers.. In the tutorial of the I2C analyzer, the was an...
  2. S

    How to intialize a RAM(VHDL)

    Actually..I have already done that but i had problems when i want to compile the code because my memory size s 4096 bytes i m creating a memory which my data are stored in 128 bits per address . The address using 8 bits(256 location) which gives me a memory which can store 4096 bytes... can u...
  3. S

    How to intialize a RAM(VHDL)

    vhdl initialize ram zero others Hi..I need some guideance on writing VHDL code for a RAM..Currently i have wrote a VHDL code for a RAM Which the initial value of all the adrees are '0' when Read operation occurs...I want to create a Ram which i can intialiaze the values in the addresses...
  4. S

    Looking for VHDL code for a Cache memory

    Hello...I need the VHDL code for a Cache memory..I m planning to model a Cache memory system (2 level-Ram and cache only)..I already got the VHDL code for the RAM but i m still looking for a Cache VHDL code.. I dont mind about the size.. But i prefer 1Kbyte or above..I m really hope someone can...
  5. S

    Reference to create the test bench files for SystemC

    Hello..i m a beginner user of systemC .how ever i m familiar with VHDL which i used in a modelsim platform..That why i never used a testbench in VHDL before.Can someone give me some reference which can be very useful for me to learn to create a testbench for me design later in SystemC... Thanks...
  6. S

    Why we need 3 files for a single SystemC design?

    Hello.. I m a VHDL user and a I new to SystemC. I will be using SystemC for my project and I m having some problem understanding how SystemC works. Previously in VHDL we just need to create a single code our simple design like adder, multiplexer and etc .However I am a little confused from the...

Part and Inventory Search

Back
Top