Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sispyhus

  1. S

    Help:problems with VHDL,wish someone help me solve it

    I have changed the code as you said,but it doesn't work. Could you tell me how to change in detail,please?
  2. S

    Help:problems with VHDL,wish someone help me solve it

    some problems with VHDL.I have checked it for many times,but Quartus always report the mistakes.i would appreciate it if you can help me. the code as follows: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity score is port(load:in std_logic...

Part and Inventory Search

Back
Top