Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sir-yuri

  1. S

    Binary codes conversion in VHDL

    1qn 2qn cordic I'll appriciate if smbd helps me by VHDL text to convert data in range -2^N ...+2^N to 1QN and 2QN codes in range -1...(1-2^-N) and reverse to implement XILINX CORDIC IP.
  2. S

    Protection of VHDL and/or AHDL codes

    Can I add some instruction or option to define specific FPGA (CPLD)device in my project. My Customer is given 'edif' or 'tdo' files for further sinthesis. I do not want him to implement another device except specified one for compilation. How to protect my design? 8O
  3. S

    Parallel division in VHDL

    parallel divide vhdl Dear friends. I'll appriciate if smbd sends me VHDL text for parallel division algorithm in VHDL. I need to divide exmpl 22 bits word by 12 bits word. I made the same in Altera LPM_DIVIDE. Now I have similar task in XILINX ISE WebPack. Thanks all in advance.:)

Part and Inventory Search

Back
Top