Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sim1234

  1. S

    Assigning submatrix to matrix in VHDL

    Hi, I've got the following problem: I've defined a matrix type as type matrix is array (natural range <>, natural range <>) of pixel; where pixel is a SLV with a given length. Now I have an entity that takes such a matrix as input (e.g. (2 downto 0, 19 downto 0). Within that entity I want to...

Part and Inventory Search

Back
Top