Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by shiva17

  1. S

    Read and write from test vector file using vhdl

    i have two memory blocks when one memory is reading the data the other one will write the data. I'm using two different file for reading and writing at the same time. In first cycle when one memory block is reading the other will write and the data is stored in text file. In second cycle i...
  2. S

    Read and write from test vector file using vhdl

    Hi every one, I wrote the test bench to read from one text file and write it in another text file in first cycle. Now i need to read the data from the written text file and write the same in the text file which was read before in second cycle. i have to do this for 10 cycles but i'm not able to...

Part and Inventory Search

Back
Top