Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by shell_inspector

  1. S

    XC9572 -Binary counter

    source xilinx unlooper Thanks echo, updating to version 8.1 did the trick!
  2. S

    XC9572 -Binary counter

    xc9572 schematic Hello, I am working with a XC9572-pc44 CPLD using the free Webpack ISE7.1.1 For some unconown reason the simply implemented 8 bit binary counter ("hello world") won't do it's thing. Instead of having each bit deviding clock's frequency by 2, the frequency of each bit is...

Part and Inventory Search

Back
Top