Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sharak

  1. S

    synchronized serial data capture to registers

    sir, it is 1 bit per clock cycle ans simulstion s 100us long and each bit s 100ns long
  2. S

    synchronized serial data capture to registers

    hi all! I am new to this site. I want to know how to capture a serially coming data to registers bit-wise. example : data_in is the serial input data synchronized with clock. reg A should contain the first bit of serial input data reg B should contain the first...

Part and Inventory Search

Back
Top