Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sgil

  1. S

    Multisource Error during VHDL Synthesis

    vhdl multi source I got rid of the errors by using one process and the simulation works perfectly. Now if i want to use another process that uses the output of the first process does my second process require all those signals (used from process 1) in its sensitivity list? or should 'clk'...
  2. S

    Multisource Error during VHDL Synthesis

    multi-source in unit xilinx error Thank you for the prompt reply ring0 :)... You are right...I have one question, when can i have multiple processes? is it only when all the signals being driven in these processes are mutually exclusive/have nothing to do with each other? In that case, I...
  3. S

    Multisource Error during VHDL Synthesis

    signal **** has a multi source. vhdl error Hello all, I am trying to implement the following code: ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL...

Part and Inventory Search

Back
Top