Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Sarathjaladi

  1. S

    Timing Issue

    I have an FPGA design which contains a multiple counters. There is a state machine which is controlled based on these counters. I am referring this counter register at multiple locations in code. There are timing errors from counter register to output port paritcularly for registers which I have...

Part and Inventory Search

Back
Top