Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by saran86

  1. S

    VHDL code for Complex matrix multiplication

    I have to multiply 4x64 and 64x4 matrices....
  2. S

    VHDL code for Complex matrix multiplication

    how to do matrix multiplication using VHDL if the entries in the matrix are complex numbers (a+jb) ? is there is any synthesizable package for complex matrices or any different procedure to be followed for that..?
  3. S

    Vhdl code fr matrix inverse

    Thank you for ur response...I'll go through that operators....how to approch a matrix inversion in vhdl...? Is there any other method to do matrix inverse
  4. S

    Vhdl code fr matrix inverse

    Than package for determinant library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; package mat is type t11 is array (0 to 2) of unsigned(3 downto 0); type t1 is array (0 to 2) of t11; --3*3 matrix type integer is range 0 to 256 ; function dd (a:t1) return integer; end mat ...
  5. S

    Vhdl code fr matrix inverse

    Hi I tried matrix inverse code...First i wrote package for finding determinant...then i wrote package for adjoint matrix. In vhdl module i have use both packages....Whether this approach is correct or not..? please help me..
  6. S

    How to declare matrix in verilog

    Thank you..I will try...
  7. S

    How to declare matrix in verilog

    Hi I'm new to verrilog HDL...I want to write a program for matrix operations in verilog..please help me

Part and Inventory Search

Back
Top