Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rumi29

  1. R

    divide a number in vhdl

    Thanks guys for your help! now its working :D
  2. R

    divide a number in vhdl

    If I convert "entrada" to Integer then divide it by 10 and then convert it back to std_logic??? because sounds stupid that this device cannot divide per 10...
  3. R

    divide a number in vhdl

    you mean that maybe I have to transform "entrada" and "deu" to unsigned variable? and also I need more variables to do the division? Can you write in vhdl what are you suggesting?
  4. R

    divide a number in vhdl

    Below this text there's a code and I have a problem. I'm trying tod divide a number per 10, because I want to make the table of the numbers just from "0" to "9" but the compilator its saying that I cannot use the operator "/"...and I don't know why...Thanks guys :D library ieee; use...
  5. R

    Elevating a number to square

    Ok thanks, but I mean how to write it in VHDL
  6. R

    Elevating a number to square

    Somebody knows how to elevate to square a number of 16 bits in VHDL? Thanks :D
  7. R

    Square root, somebody knows how its working?

    Maybe its better to implement a LUT to calculate it?
  8. R

    Square root, somebody knows how its working?

    Hello, This is the code of Square Root from the website -->https://www.cs.umbc.edu/portal/help/VHDL/samples/sqrt8.vhdl I can't understand it at all so I have some questions... 1.- If its an sqrt8 bits , why is using at the first entity (Sm) only 6 different signals, 4 in and 2 out? 2.-...
  9. R

    Sin & Cos Functions in VHDL

    Before post something....try to read first question of the subject...then if you want we can discus about math. Tros de quoniem!
  10. R

    Sin & Cos Functions in VHDL

    I was trying to understand all the lines of your code but I can't....:( could you comment what are you doing exactly line per line? thanks!
  11. R

    Sin & Cos Functions in VHDL

    Thank you so much guys! now I have to go...but when it works I will tell you!
  12. R

    Sin & Cos Functions in VHDL

    for-->std_match Thanks again but I need to implement this function in VHDL...and I don't need to be exactly.
  13. R

    Sin & Cos Functions in VHDL

    Hey, thanks for your fast reply ;) Well, more or less I can understand what you mean...do you have an example? no all the code but something to start...or some link to learn it how can I start? because I'm a little bit lost...sorry and thanks again!
  14. R

    Sin & Cos Functions in VHDL

    Hi everybody! I'm new on this world of FPGA's. Well I'm trying to implement the function Sin and Cos in VHDL but I have a problem because my FPGA's doesn't works with float numbers and I can't use any kind of math libraries. I did a program in C language to calculate Sin() with the Taylor...

Part and Inventory Search

Back
Top