Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by RollsRoyceMerlin

  1. R

    Purpose of func and test modes in Encounter MMMC

    I'm currently working with Cadence Encounter. For MMMC place & route it requires "func" and "test" SDCc constraints. After place & route func and test minimum, typical and maximum SDF files are generated. What is the purpose of func and test modes? Thanks.
  2. R

    Problem Using VHDL Package in NCLaunch

    I'm attempting to use a VHDL package with NCLaunch. These 2 files illustrate the problem: package.vhdl: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; package package1 is type state_type is (state1,state2); end package1; andgate.vhdl: library IEEE; use...

Part and Inventory Search

Back
Top