Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rohith94

  1. R

    Divide by 2 Counter using only logic gates - Verilog

    This is how my output waveform looks like.
  2. R

    Divide by 2 Counter using only logic gates - Verilog

    So, I added the following line to the code not(d,q) with the test bench being `timescale 1ns / 1ps module d_ff_gates_tb(); reg d; reg clk; wire q; wire q_bar; initial begin d = 0; clk = 0; end always begin #10 clk = ~clk; //#10 d = clk; end d_ff_gates U0( d, clk, q, q_bar ); The verilog...
  3. R

    Divide by 2 Counter using only logic gates - Verilog

    I designed a D-Flip Flop using only logic gates (i.e., gate level design) - Verilog. Now I want to convert this into a divide by 2 counter. Can anyone help me with this? My Code: `timescale 1ns / 1ps module d_ff_gates(d,clk,q,q_bar); input clk; input d; output q, q_bar; wire...

Part and Inventory Search

Back
Top